您现在的位置: 中国科技创新网 > 文章中心 > 创新人物百科 > 计算机科学 > 文章正文
专家信息 科学研究 论文专著 荣誉奖励 发明专利 媒体报道

专家信息:

胡伟武,男,汉族,1968年11月生,浙江永康人,中共党员,1996年3月参加工作,中国科学院研究生院计算机系统结构专业毕业,博士研究生学历,工学博士,研究员,博士生导师。现任中国科学院计算技术研究所研究员,所长助理,中科院“百人计划”入选者,国家杰出青年基金获得者,“龙芯处理器”研制组组长,全国青联委员,第十一届全国人大代表(湖南)。

学习及工作经历:

1.1986.09——1991.07,中国科学技术大学计算机科学技术系大学本科学习;   

2.1991.09——1996.03,中国科学院研究生院计算机系统结构专业博士研究生,获工学博士学位;   

3.1996.03——1997.06,中国科学院计算技术研究所助研究员(1997.06—1997.07 参加第一届863高级技术人才培训班);   

4.1997.07——2000.02,中国科学院计算技术研究所副研究员;   

5.2000.03——至今,中国科学院计算技术研究所研究员。

科学研究:


研究方向:

微处理器设计、计算机系统结构、集成电路设计等。

承担的科研项目情况:

1.“四核龙芯通用CPU研制”,国家863重点项目,负责人,2008.1-2010.12;   

2.“计算机系统结构”,国家杰出青年基金,负责人,2004.1-2007.12;   

3.“高性能多核CPU结构设计及原型系统研究”,中科院知识创新工程方向性项目,负责人,2007.1-2007.12;   

4.“龙芯2号增强型处理器芯片设计”,国家863项目,负责人,2005.5-2005.12;   

5.“高性能通用CPU芯片全定制实现及系统集成”,国家863项目,负责人,2002.10-2004.6。

研究成果:

胡伟武从事的主要科研任务包括两个方面,一是并行处理系统结构,主要是共享存储系统结构;二是高性能处理器系统结构及处理器设计。   

在并行处理系统结构方面,在攻读博士学位期间先后作为技术骨干参加了国家自然科学基金重大项目、 攀登计划项目及中科院“九五”攻关重大项目的研究,毕业后承担了中科院院长创新基金项目、国家自然科学基金项目,以及中国科学院全国首届优秀博士论文专项资助项目等的研究工作。在共享存储体系结构等方面取得的研究成果包括,提出了共享存储系统访存事件理论模型及存储一致性框架模型;提出了基于锁的高速缓存一致性协议;并在上述工作基础上研制出JIAJIA共享虚拟存储系统。目前JIAJIA系统已被包括二十多个国家和地区在内的一百多个国内外研究机构使用,并在国产高性能计算机的研制中得到了应用。   

在处理器体系结构和处理器设计方面,2000年起开始作为总设计师从事国产龙芯高性能通用CPU的研制,先后研制成功龙芯1号、龙芯2号、龙芯2E/2F、龙芯3A等多款通用CPU芯片。其中,龙芯1号是我国首枚具有自主知识产权的高性能通用CPU芯片,它的研制成功结束中国计算机“无芯”的历史。龙芯1号、龙芯2号的问世分别被两院院士评为2002年、2005年的中国十大科技进展新闻。龙芯2E/2F采用90纳米工艺设计,主频达到1GHz,性能达到1.5GHz的Intel Pentium4处理器水平。2009年10月研制成功的龙芯3A采用65纳米工艺设计,包含4个处理器核,主频1GHz,功耗小于15瓦,片上集成4.25亿个晶体管,峰值性能达到每秒160亿浮点运算。目前基于龙芯处理器的电脑产品正在国家安排下进行百万套级推广。

论文专著:

1. 共享存储系统系统结构,高等教育出版社,胡伟武,2002

2. LReplay: A Pending Period Based Deterministic Replay Scheme. Yunji Chen, Weiwu Hu, Tianshi Chen, Ruiyang Wu, Proc. Of 37th ACM/IEEE International Symposium on Computer Architecture(ISCA 2010)

3. DMA Cache: Using On-Chip Storage to Architecturally Separate I/O Data from CPU Data for Improving I/O Performance. Dan Tang, Yungang Bao, Weiwu Hu, Mingyu Chen, the 16th International Symposium on High Performance Computer Architecture(HPCA’10)

4. Godson-3: A Scalable Multicore RISC Processor with X86 Emulation. Weiu Hu, Jian Wang, Xiang Gao, Yunji Chen, Qi Liu and Guojie Li., IEEE Micro, 29(2):17-29, March/April 2009

5. Fast Complete Memory Consistency Verification. Yunji Chen, Yi Lv, Weiwu Hu, Tianshi Chen, Haihua Shen, Pengyu Wang, Hong Pan.The 15th International Symposium on High-Performance Computer Architecture (HPCA’2009)

6. Implementing a 1GHz Four-issue Out-of-Order Execution Microprocessor in a Standard Cell ASIC Methodology. Weiwu Hu, Jiye Zhao, Shiqiang Zhong, Xu Yang, etc, Journal of Computer Science and Technology, 22(1):1-14, January 2007

7. System Architecture of Godson-3 Multi-Core Processors 高翔; 陈云霁; 王焕东; 唐丹; 胡伟武 Journal of Computer Science & Technology 2010-03-15;

8. Design and analysis of a UWB low-noise amplifier in the 0.18μm CMOS process 杨袆; 高茁; 杨丽琼; 黄令仪; 胡伟武 半导体学报 2009-01-15

9. A 10 Gb/s receiver with half rate period calibration CDR and CTLE/DFE combiner 高茁; 杨宗仁; 赵莹; 杨袆; 张璐; 黄令仪; 胡伟武 半导体学报 2009-04-15

10. 龙芯的持久战 胡伟武 半导体行业 2009-06-15

11. 龙芯2号处理器的同时多线程设计 李祖松; 许先超; 胡伟武; 唐志敏 计算机学报 2009-11-15

12. 2020年“中国芯”支撑信息产业发展 胡伟武 中国科技投资 2009-12-05

13. 片上多核处理器的结构级功耗建模与优化技术研究 张戈; 胡伟武; 黄琨; 曾洪博; 王君 自然科学进展 2009-12-15

14. 龙芯2号处理器设计和性能分析 胡伟武; 张福新; 李祖松 计算机研究与发展 2006-06-30

15. 龙芯2号处理器功能部件设计 张戈; 齐子初; 胡伟武 计算机研究与发展 2006-06-30

16. 为了龙芯的跳动 胡伟武 中国高新区 2006-08-20

17. 龙芯2号微处理器浮点除法功能部件的形式验证 陈云霁; 马麟; 沈海华; 胡伟武 计算机研究与发展 2006-10-30

18. 高性能通用处理器中的漏电功耗优化 张戈; 胡伟武 计算机学报 2006-10-30

19. 自主创新的“枪杆子”(上)——漫谈创新能力建设 胡伟武 信息网络安全 2006-11-08

20. 自主创新的“枪杆子”——漫谈创新能力建设(下) 胡伟武 信息网络安全 2006-12-08

21. 基于SimpleScalar的龙芯CPU模拟器Sim-Godson 张福新; 章隆兵; 胡伟武 计算机学报 2007-01-30

22. 快速地址计算的自适应栈高速缓存 郇丹丹; 李祖松; 王剑; 章隆兵; 胡伟武; 刘志勇 计算机研究与发展 2007-01-30

23. Cache自适应写分配策略 郇丹丹; 李祖松; 胡伟武; 刘志勇 计算机研究与发展 2007-02-28

24. 同时多微线程体系结构研究 李祖松; 许先超; 胡伟武; 唐志敏 计算机研究与发展 2007-05-15

25. 一种基于龙芯CPU的结构级功耗评估新方法 黄琨; 章隆兵; 胡伟武; 张戈 计算机研究与发展 2007-05-15

26. 基于龙芯CPU的多核全系统模拟器SimOS-Goodson 高翔; 张福新; 汤彦; 章隆兵; 胡伟武; 唐志敏 软件学报 2007-04-30

27. 结合访存失效队列状态的预取策略 郇丹丹; 李祖松; 胡伟武; 刘志勇 计算机学报 2007-07-15

28. 龙芯2号同时多线程处理器的软硬件接口设计 李祖松; 许先超; 胡伟武; 唐志敏 软件学报 2007-07-15

29. 龙芯1号处理器结构级功耗评估有效性分析 冯子军; 肖俊华; 胡伟武 计算机辅助设计与图形学学报 2007-09-15

30. 龙芯2E北桥的设计和性能优化 张斌; 蔡飞; 胡明昌; 胡伟武 计算机应用研究 2007-12-15

31. 一种向分支指令后插入冗余指令的容错微结构 张仕健; 胡伟武 计算机学报 2007-10-15

32. Xmesh:一个mesh-like片上网络拓扑结构 朱晓静; 胡伟武; 马可; 章隆兵 软件学报 2007-09-15

33. 一种基于SAT的运算电路查错方法 陈云霁; 张健; 沈海华; 胡伟武 计算机学报 2007-12-15

34. 龙芯3号互联系统的设计与实现 王焕东; 高翔; 陈云霁; 胡伟武 计算机研究与发展 2008-12-15

35. 一个基于微处理器功能模型的可靠度评估系统 张仕健; 许彤; 章隆兵; 胡伟武 计算机学报 2008-03-15

36. 龙芯1号IP验证方法 冯子军; 肖俊华; 胡伟武 计算机工程 2008-03-05

37. 一种基于容量复用的异构CMP Cache 高翔; 章隆兵; 胡伟武 计算机研究与发展 2008-05-15

38. 一个0.18μm高速低功耗的发送和接收电路(英文) 张锋; 冯伟; 崔浩; 杨袆; 黄令仪; 胡伟武 半导体学报 2008-05-15

39. 基于插桩分析的Java虚拟机自适应预取优化框架 邹琼; 伍鸣; 胡伟武; 章隆兵 软件学报 2008-07-15

40. 纳米级工艺对微处理器设计的挑战 胡伟武; 李国杰 中国集成电路 2008-07-05

41. Chip Multithreaded Consistency Model 李祖松; 郇丹丹; 胡伟武; 唐志敏 Journal of Computer Science & Technology 2008-03-15

42. Making Effective Decisions in Computer Architects' Real-World:Lessons and Experiences with Godson-2 Processor Designs 胡伟武; 王剑 Journal of Computer Science & Technology 2008-07-15

43. 一个用于高速信号传输的对PVT变化不敏感的低功耗锁相环(英文) 杨祎; 杨丽琼; 张锋; 高茁; 黄令仪; 胡伟武 半导体学报 2008-10-15

44. 软件DSM机群上并行大规模地理图像处理系统ParGIP 史岗; 张福新; 胡伟武; 韩承德 计算机研究与发展 2003-01-30

45. 龙芯1号处理器结构设计 胡伟武; 唐志敏 计算机学报 2003-04-12

46. VIA(Virtual Interface Architecture)上的软件DSM系统实现和性能 史岗; 尹宏达; 胡明昌; 胡伟武 计算机学报 2003-12-12

47. PC机群上JIAJIA与MPI的比较 胡明昌; 史岗; 胡伟武; 唐志敏; 张福新 软件学报 2003-07-23

48. 通信对机群并行计算性能的影响 胡明昌; 史岗; 胡伟武; 唐志敏 小型微型计算机系统 2003-09-21

49. 一种减小SRT浮点算法时延的优化方法 刘华平; 胡伟武 计算机研究与发展 2003-11-30

50. PC机群上共享存储与消息传递的比较 章隆兵; 吴少刚; 蔡飞; 胡伟武 软件学报 2004-06-30

51. 一种适用于机群OpenMP系统的有效调度算法 吴少刚; 章隆兵; 蔡飞; 胡伟武 计算机研究与发展 2004-07-16

52. 适合机群OpenMP系统的制导扩展 章隆兵; 吴少刚; 蔡飞; 胡伟武 计算机学报 2004-08-12

53. 可恢复的软件DSM系统JIACKPT 张福新; 章隆兵; 胡伟武; 唐志敏 软件学报 2005-02-28

54. 基于新型Cache一致性协议的共享虚拟存储系统 胡伟武; 施巍松; 唐志敏 计算机学报 1999-05-12

55. Where Does the Time Go in Software DSMs?—Experiences with JIAJIA 施巍松; 胡伟武; 唐志敏 Journal of Computer Science and Technology 1999-06-30 期刊 0 8

56. 曙光1000A上消息传递与共享存储的比较 唐志敏; 施巍松; 胡伟武 计算机学报 2000-02-12

57. Dynamic Data Prefetching in Home-Based Software DSMs 胡伟武; 张福新; 刘海明 Journal of Computer Science and Technology 2001-06-30

58. 地理数字图像机群并行处理试验 方金云; 何建邦; 池天河; 胡伟武; 史岗; 张福新 计算机科学 2001-05-15

59. 顺序一致共享存储系统中的乱序执行技术──基本理论 胡伟武; 夏培肃 计算机学报 1997-06-12

60. 顺序一致共享存储系统中的乱序执行技术──模拟实现 胡伟武; 夏培肃 计算机学报 1997-06-12

61. A Lock-Based Cache Coherence Protocol for Scope Consistency 胡伟武;施巍松; 唐志敏;李明; Journal of Computer Science and Technology 1998-04-30

62. A Framework of Memory Consistency Models 胡伟武;施巍松; 唐志敏; Journal of Computer Science and Technology 1998-04-30

63. Out-of-Order Execution in Sequentially Consistent Shared-Memory Systems:Theory and Experiments 胡伟武;夏培肃; Journal of Computer Science and Technology 1998-04-30

64. 高性能计算技术展望 夏培肃; 胡伟武 中国科学院院刊 1998-10-15

65. 面向21世纪的高性能计算技术 夏培肃; 胡伟武 世界科技研究与发展 1998-06-10

66. 龙芯不是一个人在战斗 胡伟武; 中科院计算所研究员; 龙芯课题组负责人; “龙芯之父” 电脑报 2009-08-03

67. 龙芯1号研发纪事 胡伟武 计算机世界 2002-10-14 报

68. 我们的龙芯1号 胡伟武(本文作者系中科院计算所系统结构室CPU科研组负责人) 科学时报 2003-03-06

69. “龙芯”的成长日记 胡伟武 人民日报 2004-03-25

70. 导师的责任 胡伟武 科学时报 2006-03-10

71. 为了龙芯的跳动 胡伟武 科学时报 2006-03-14

72. 龙芯启示录:自主创新就是要另辟蹊径 对话双方 中国龙芯总设计师 胡伟武 记者 徐志斌 科学导报 2008-03-24

73. 以人为本就是“创新为民” 中科院计算技术研究所研究员 胡伟武 科学时报 2008-10-27

荣誉奖励:

1.第十届中国青年科技奖;

2.中央国家机关2000-2001年度杰出青年;   

3.中国科学院第七届杰出青年;   

4.全国第七届青年五四奖章;   

5.中国科学院杰出成就奖;   

6.第五届光华工程科技奖;   

7.2000年获中科院第五届优秀青年称号;   

8.2000年获中科院盈科优秀青年学者奖;   

9.1999年获全国首届优秀博士论文奖;   

10.1996年获中科院院长奖学金特别奖;   

11.1995年获中科院科技进步二等奖。

发明专利:

1 一种基于时间冗余的检验流水线瞬态故障的装置及方法 张仕健; 胡伟武 中国科学院计算技术研究所 2007-08-08

2 一种浮点乘加器及其乘法CSA压缩树的进位校验装置 齐子初; 胡伟武 中国科学院计算技术研究所 2007-12-26

3 一种处理器及其降频装置和方法 胡伟武;张戈 中国科学院计算技术研究所 2008-01-09

4 一种多核处理器及其变频装置和核间通信方法 张戈; 胡伟武 中国科学院计算技术研究所 2008-03-05

5 一种RISC处理器及其寄存器标志位处理方法 胡伟武; 李晓钰; 李国杰 中国科学院计算技术研究所 2008-06-11

6 一种RISC处理器装置及其指令地址转换查找方法 苏孟豪; 胡伟武 中国科学院计算技术研究所 2008-07-09

7 一种RISC处理器装置及其有界访存方法 胡伟武;蔡嵩松; 李国杰 中国科学院计算技术研究所 2008-07-23

8 与例外处理结合的猜测执行指令取消装置 胡伟武;王海洋 中国科学院计算技术研究所 2003-04-16

9 基于操作队列复用的指令流水线系统和方法 胡伟武;唐志敏; 冯雷 中国科学院计算技术研究所 2003-04-16

10 指令流水线中实现访存精确例外的处理方法 胡伟武;刘华平 中国科学院计算技术研究所 2003-04-16

11 CPU硬件支持的系统攻击防范方法 胡伟武;张福新; 李丙辰; 唐志敏 中国科学院计算技术研究所 2003-05-28

12 动态索引的微处理器高速缓存方法 胡伟武;张福新; 唐志敏 中国科学院计算技术研究所 2003-07-02

13 一种定点除法部件中提前终止循环计算的方法 刘华平; 胡伟武 中国科学院计算技术研究所 2004-03-31

14 能使SRT算法实现的定点除法部件减少循环次数的方法 刘华平; 齐子初; 胡伟武 中国科学院计算技术研究所 2004-03-31

15 一种浮点除法部件中提前终止循环计算的方法及电路 刘华平; 胡伟武 中国科学院计算技术研究所 2004-04-07

16 一种减少SRT-4 除法和开根部件循环次数的方法及电路 刘华平;胡伟武 中国科学院计算技术研究所 2004-07-28

17 MIPS指令集的处理器扩展指令及其编码方法和部件 胡伟武;李祖松; 齐子初 中国科学院计算技术研究所 2005-08-17

18 一种用于虚拟共享存储系统的远程取页方法及网络接口卡 史岗; 胡明昌; 尹宏达; 胡伟武; 唐志敏 中国科学院计算技术研究所 2005-12-07

19 一种应用于同时多线程处理器的取指控制装置及其方法 何立强; 刘志勇; 胡伟武中国科学院计算技术研究所 2006-01-04

20 使64位处理器兼容32位桥接芯片的系统及转换装置 李文; 高翔; 郇丹丹; 胡伟武 中国科学院计算技术研究所 2006-01-04

21 一种用于测试MIPS处理器的设备 张瑾; 贺今朝; 胡伟武 中国科学院计算技术研究所 2006-08-16

22 一种浮点乘法器及其兼容双精度和双单精度计算的方法 齐子初; 张戈; 胡伟武 中国科学院计算技术研究所 2006-09-13

23 在微处理器用户态随机验证中实现核心态程序验证的方法 沈海华; 胡伟武 中国科学院计算技术研究所 2007-01-10

24 一种64比特浮点乘加器及其流水节拍划分方法 齐子初; 胡伟武 中国科学院计算技术研究所 2007-03-07

25 一种在指令级随机测试中支持EJTAG测试的实现方法 沈海华; 王朋宇; 胡伟武 中国科学院计算技术研究所 2007-03-28

26 一种高速缓存失效的处理器访存指令处理方法 郇丹丹; 胡伟武;李祖松 中国科学院计算技术研究所 2007-05-02

27 适用于上下文切换的栈高速缓冲存储器及缓冲存储方法 郇丹丹; 胡伟武;李祖松 中国科学院计算技术研究所 2007-05-16

28 一种利用例外机制维护多线程处理器的存储一致性的方法 李祖松; 胡伟武; 郇丹丹 中国科学院计算技术研究所 2007-06-20

29 利用路预测技术的多线程处理器的取指方法及系统 李祖松; 胡伟武; 郇丹丹 中国科学院计算技术研究所 2007-06-27

30 学舌玩具 胡伟武; 李伟献 1993-12-15

31 声控开关装置 胡伟武 1995-05-31

32 一种支持X86虚拟机的RISC处理器装置及方法 李国杰; 胡伟武; 李晓钰; 苏孟豪 中国科学院计算技术研究所 2008-09-03

33 一种用于解决多处理器访问目录冲突的装置和方法 郇丹丹; 刘奇; 胡伟武 中国科学院计算技术研究所 2009-01-28

34 一种多处理器系统及Cache一致性消息传输方法 郇丹丹; 陈云霁; 李祖松; 高翔; 胡伟武 中国科学院计算技术研究所 2009-05-13

35 一种门控时钟系统及其工作方法 胡伟武;陈云霁; 钱诚 中国科学院计算技术研究所 2009-06-03

36 一种复用二级Cache和DSP的RAM的方法及系统 高翔; 陈云霁; 吴为丹; 胡伟武 中国科学院计算技术研究所 2009-06-03

37 一种访存缓冲装置及方法 王焕东; 唐丹; 胡伟武 中国科学院计算技术研究所 2009-06-24

38 一种自动纠错系统及方法 陈云霁; 钱诚; 胡伟武 中国科学院计算技术研究所 2009-07-08

39 一种处理器系统及其访存方法 胡伟武; 高翔 中国科学院计算技术研究所 2009-07-08

40一种半导体集成电路装置及其可靠性测试装置和测试方法 杨旭; 张戈; 胡伟武 中国科学院计算技术研究所 2009-10-28

媒体报道:

胡伟武:我们计算所一流人才都留在了龙芯

尽管已有心理准备,但当记者走进龙芯研发基地会议室,看到墙上“用毛泽东思想武装龙芯课题组”的红字标语和国旗党旗时,还是感到震撼。据说,几年前有人把这条标语列为“2007年最搞笑的标语”之一,胡伟武的反应是:“小鸡永远理解不了老鹰的胸怀。”

中科院计算所八楼,是龙芯的研发基地。置身其间,很难想象这是一个备受关注的高科技企业。这里有种极不寻常的“红色”氛围———大平面墙上,挂着“人生能有几回搏”的标语;工作人员桌上,贴着写有“我是党员”的红色字条,还有一幅红军长征路线图。据胡伟武介绍,龙芯团队平均年龄不到30岁。

谈起毛泽东思想、马克思主义,胸前端正地别着一枚毛主席像章的胡伟武如数家珍、神采飞扬。他还时不时迸出一些毛式词语,比如“自主创新是枪杆子”、“我们要搞统一战线”、“走农村包围城市路线”。

上午10点整,外间响起了激昂的音乐。这是一首龙芯员工自己创作并演唱的歌曲《龙心飞扬》。歌词的最后一句是,“我们依然执著,我们依然勇敢,我们要让世界听到龙的声音”。

谈到很多科研单位忧心优秀人才流失,以至于“一流大学”都被称为外国著名学府“预科”的问题,胡伟武说:“那是因为他们没有一个好的平台!我们中科院计算所一流人才都留在了龙芯!”

他们的自信和成就,甚至已引起国外媒体的注意和持续关注。美国《连线》杂志2009年底发表的文章《人民的处理器:拥抱中国国产计算机芯片》指出,“中国要推出自制处理器的决定在西方被严重忽视,这是很不应该的。该国将会以令人难以置信的速度推进这个项目的成功———它已成为中国1986年后高新技术的基石。我们知道,中国非常善于利用规模经济,龙芯芯片将会改变地球上人口最多国家的计算机拥有率。它将深远影响着所有地方的计算机。”

5月12日,微软诉东莞市动感网络通信有限公司侵权案开庭。业界人士估计,若这家网吧败诉,整个东莞网吧行业或将支付亿元版权费,最终将有1/4到1/3的网吧面临倒闭。

微软能否胜诉尚未可知,但这个时候,胡伟武和他领导的龙芯团队的志向,显得尤为珍贵。

来源:中青报 2010-05-20

文章录入:zgkjcx    责任编辑:zgkjcx 
  • 上一篇文章:

  • 下一篇文章:
  •  

    关于我们 | 加入收藏 | 联系我们 | 设为首页 | 广告说明 | 合作项目

    名称:科技创新网 工信部备案号:京ICP备13040577号-2    公安备案号:11010802029847
    版权所有:未经授权禁止复制或建立镜像 E-Mail:zgkjcx08@126.com